• plc控制自动售货机 > 自动售货机控制系统设计
  • 自动售货机控制系统设计

    免费下载 下载该文档 文档格式:PPT   更新时间:2006-08-01   下载次数:0   点击次数:1
    文档基本属性
    文档语言:
    文档格式:ppt
    文档作者:greentea
    关键词:
    主题:
    备注:
    点击这里显示更多文档属性
    8.21 自动售货机控制系统设计
    设计要求
    设计制作一个自动售货机控制系统.
    该系统能完成货物信息存储,进程控制,硬币处理,余额计算,显示等功能.
    该系统可以管理四种货物,每种的数量和单价在初始化时输入,在存储器中存储.用户可以用硬币进行购物,按键进行选择.
    系统根据用户输入的货币,判断钱币是否够,钱币足够则根据顾客的要求自动售货,钱币不够则给出提示并退出.
    系统自动的计算出应找钱币余额,库存数量并显示.
    系统组成
    系统以FPGA器件为处理数据和控制核心.
    系统按功能分为:
    分频模块
    控制模块
    译码模块
    译码显示模块.
    系统组成方框图
    首先由售货员把自动售货机里的每种商品的数量和单价通过set键和sel键置入到RAM里.
    然后顾客通过sel键对所需要购买的商品进行选择,选定以后通过get键进行购买,再按finish键取回找币,同时结束此次交易.
    按get键时,如果投的钱数等于或则大于所购买的商品单价,则自动售货机会给出所购买的商品;如果投的钱数不够,自动售货机不做响应,继续等待顾客的下次操作.
    顾客的下次操作可以继续投币,直到钱数到达所要的商品单价进行购买;也可以直接按finish键退币.
    自动售货机VHDL程序与仿真
    自动售货机VHDL程序
    --文件名:AUTO.vhd.
    --功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能.
    --说明:显示的钱数coin的 以5角为单位.
    --最后修改日期:2004.3.23.
    library ieee;
    use ieee.std_logic_arith.all;
    use ieee.std_logic_1164.all;
    use ieee.std_logic_unsigned.all;
    entity AUTO is
    port ( clk:in std_logic; --系统时钟
    set,get,sel,finish: in std_logic; --设定,买,选择,完成信号
    coin0,coin1: in std_logic; --5角硬币,1元硬币
    price,quantity :in std_logic_vector(3 downto 0); --价格,数量数据
    item0 , act:out std_logic_vector(3 downto 0); --显示,开关信号
    y0,y1 :out std_logic_vector(6 downto 0); --钱数,商品数量显示数据
    act10,act5 :out std_logic); --1元硬币,5角硬币
    end AUTO;
    architecture behav of AUTO is
    type ram_type is array(3 downto 0)of std_logic_vector(7 downto 0);
    signal ram :ram_type; --定义RAM

    下一页

  • 下载地址 (推荐使用迅雷下载地址,速度快,支持断点续传)
  • 免费下载 PPT格式下载
  • 您可能感兴趣的
  • 基于plc的自动售货机  plc自动售货机设计  plc自动售货机  自动售货机plc梯形图  plc控制自动贴合机  plc自动控制系统  plc控制全自动洗衣机  plc自动控制  plc自动增压控制系统