• 找到相关文档约50篇, 耗时0.29s verilog子模块 - 文档搜索结果预览与免费下载
    • 文档格式:pdf 更新日期:2011-06-14
      PDF文档 嵌入式高速 S at ei 卡控制器模块设计 m r da
      文档预览: 本文将介绍一种采用 Verilog HDL设计的高速 SmartMedia卡控制器模块,该控制器内部... .具有方便的软件控制接口。 以下将详细叙述SmartMedi。卡控制器各子模块及接口的原... 点击下载
    • 文档格式:ppt 更新日期:2011-09-13
      PowerPoint幻灯片 Verilog硬件描述语言基础
      文档预览: 三、Verilog语言要素 模块的基本描述形式:module module_name(input/output_port list)... 绝对禁止将内部产生时钟模块描述作为宏单元的子模块。 Guidelines for Clocks and ... 点击下载
    • 文档格式:doc 更新日期:2011-09-13
      Word文档 第二章设计入口
      文档预览: l -format <文件类型>:RTL源文件的类型,即Verilog或vhdl .... 在进一步工作之前, 需要将设计中调用的子模块与链接库中定义的模块建立对应关系,这一过程叫做链接 ... 点击下载
    • 文档格式:pdf 更新日期:2000-07-01
      PDF文档 Verilog语言要素
      文档预览: Verilog语言要素本章介绍 Verilog HDL的...如果在此指令后面的任何模块中没有说明...`resetall例如,该指令使得缺省连线类型...在第一个模块中, 5.22对应5.2 ns... 点击下载
    • 文档格式:doc 更新日期:2011-09-13
      Word文档 卷积码Viterbi译码器的FPGA设计与实现
      文档预览: 方案中设计了幸存路径交换寄存器模块,充分利用FPGA中丰富的触发器资源,减小 了译码器状态控制的复杂度, ... 在VB算法基础上,设计了基于FPGA的VB译码器 硬件架构,并根据要求设计了每个功能子模块。 ... 数字系统设计与Verilog HDL[M]. ... 点击下载
    • 文档格式:doc 更新日期:2011-09-13
      Word文档 高速SPI总线接口在短波接收机中的应用
      文档预览: 其中,基于CPLD的SPI接口设计由硬件描述语言Verilog HDL描述。 ... 具体到功能 模块的设计,SPI收发模块又可以分为:控制模块、FIFO存储器模块和数据收发模块 ... 点击下载
    • 文档格式:ppt 更新日期:2011-05-22
      PowerPoint幻灯片 SoC设计与实现
      文档预览: 配置而pci模块相关子模块在pcilib库中,顶层模块为amba_pci的verilog配置 逻辑仿真的过程 1、进行IP集成,构建新TE系统的硬件2、修改系统软件,构建新TE系统的软件3、增... 点击下载
    • 文档格式:doc 更新日期:2011-09-13
      Word文档 阿基米德螺旋天线小型化研究
      文档预览: 数字化直扩接收机解扩模块设计. 电子与信息工程学院:陈希元 指导教师:苏雁泳 ... 思想,以FPGA为主要载体,运用硬件描述语言(Verilog)进行设计,对直扩接收机的解扩 ..... (4) 在QUARTUS II开发平台上实现了一个扩频接收机的主模块及相关的子模块设计。 ... 点击下载
    • 文档格式:pdf 更新日期:2011-03-01
      PDF文档 EDA 实验中问题及注意事项
      文档预览: 1.在Verilog HDL 源程序中,module 关键字后面所跟的模块名必须与文件名同名!否 则编译会出错! 2.当一个工程中有多个子模块时,如何对每个子模块进行仿真?“实验中对... 点击下载
    共搜索到50篇文档 10篇/页 1/5
  • 您可能感兴趣的
  • verilog模块调用  verilogrom模块  verilog模块化设计  verilog学习  verilogcase用法  verilog求余  veriloghdl教程  奇分频电路verilog  verilog分频