KX康芯科技
);
【例12-9】 X LIBRARY IEEE use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity vga640480 is port ( clk : in STD_LOGIC; hs, vs, r,g,b : out STD_LOGIC; rgbin : in std_logic_vector(2 downto 0); hcntout,vcntout : out std_logic_vector(9 downto 0)); end vga640480; architecture ONE of vga640480 is signal hcnt, vcnt : std_logic_vector(9 downto 0); begin hcntout <= hcnt; vcntout <= vcnt; process(clk) begin if (rising_edge(clk)) then if(hcnt < 800) then hcnt <= hcnt + 1; else hcnt '0'); end if; end if; end process; process(clk) begin if (rising_edge(clk)) then 接下页 if (hcnt = 640+8 ) then if(vcnt < 525) then vcnt <= vcnt + 1; else vcnt '0'); end if;
K
康芯科技
KX康芯科技
end if; end if; end process; process(clk) begin if (rising_edge(clk)) then if((hcnt>=640+8+8) and (hcnt<640+8+8+96 )) then hs<='0'; else hs = 480+8+2) and (vcnt<480+8+2+2)) then vs <= '0'; else vs<='1'; end if; end process; process(clk) begin if (rising_edge(clk)) then if (hcnt<640 and vcnt<480) then r<=rgbin(2); g<=rgbin(1); b<=rgbin(0); else r<='0'; g<='0'; b<='0'; end if; end if; end process; end ONE;
KX康芯科技
12.5 采用高速A/D的存储示波器设计
FPGA 模拟信号输入 地址发生计数器 时钟CLK DAC 高速ADC A/D采样控制器 分频器 锯齿波 双口RAM DAC 波形数据输出 Y 示波器 X
图12-15 存储示波器结构简图
KX康芯科技
12.5 采用高速A/D的存储示波器设计
图12-16
TLC5510引脚图
KX康芯科技
12.5 采用高速A/D的存储示波器设计
图12-17 TLC5510采样时序图
KX康芯科技
12.5 采用高速A/D的存储示波器设计
St 0
ADck<='1'; lock<='1'; dclk<='0';
图12-18
St 1
ADck<='0'; lock<='0'; dclk ADck<='1'; lock<='1'; dclk<='0';next_ads_state ADck<='0'; lock<='0'; dclk<='1';next_ads_state ADck<='0'; lock<='0'; dclk<='1';next_ads_state <= sta0; END CASE ; END PROCESS; 接下页
康芯科技
PROCESS (CLK,rst) KX BEGIN IF RST ='0' THEN ads_state <= sta0; ELSIF ( CLK'EVENT AND CLK='1') THEN ads_state <= next_ads_state; -- 在时钟上升沿,转换至下一状态 END IF; END PROCESS; PROCESS (lock,rst) -- 此进程中,在lock的上升沿,将转换好的数据锁入 BEGIN IF RST ='0' THEN data '0'); ELSIF lock'EVENT AND lock='1' THEN data <= D ; END IF; END PROCESS ; ADoe <= '0'; end ADCTRL;
- eda技术实用教程pdf > KX康芯科技
-
KX康芯科技
下载该文档 文档格式:PDF 更新时间:2011-09-02 下载次数:0 点击次数:2文档基本属性 文档语言: 文档格式: pdf 文档作者: csmits 关键词: 主题: 备注: 点击这里显示更多文档属性 经理: 单位: RiaggRijnmond 分类: 创建时间: 上次保存者: csmits 修订次数: 11 编辑时间: 文档创建者: 修订: 加密标识: 幻灯片: 29 段落数: 25 字节数: 5499269 备注: 0 演示格式: Diavoorstelling 上次保存时间:
- 下载地址 (推荐使用迅雷下载地址,速度快,支持断点续传)
- PDF格式下载
- 更多文档...
-
上一篇:计算机组成原理与系统结构实验教程
下一篇:技术实用教程
点击查看更多关于eda技术实用教程pdf的相关文档
- 您可能感兴趣的
- sas编程技术教程pdf cad实用教程2008pdf oracle实用教程pdf 实用膜片钳技术pdf 吹塑实用技术pdf 游戏机实用技术pdf 中医实用技术pdf 游戏机实用技术281pdf 钢琴入门教程pdf
- 大家在找
-
- · jsp留言板
- · 世界洋流分布
- · 异国恋爱浪漫谭动漫
- · 塞班智能手机主题下载
- · 2004年超级男声搞笑
- · 高鸿业经济学第四版
- · 中国移动网上营业厅网
- · 铁路安全生产宣传图片
- · 三角元加油站闹鬼事件
- · 半导体结构的电学和光电性质
- · 东莞内刊招聘总编
- · 小型调频电台发射机的论文
- · 443mhz天线
- · 组态王与单片机连接
- · 箭头符号怎么打
- · 神木职教中心期末成绩
- · 江苏专转本考试内容
- · 百度下载安装
- · tm影像免费下载
- · 迅驰基金传销
- · 联想a60智能手机上网
- · 虎头奔驰s320
- · 番禺cad绘图员招聘
- · www.auto919.com
- · vfp6.0官方下载win7
- · 应变片传感器
- · 大学暑期实践活动论文
- · 幼儿园教案手指印画
- · 生物阻抗谱
- · 攀钢钒钛重组最新进度
- 赞助商链接